tk, to fediverse
@tk@bbs.kawa-kun.com avatar

Someone should write a server implementation in a hardware description language like or .

screwtape, to climate
@screwtape@mastodon.sdf.org avatar

(on the hour) https://anonradio.net:8443/anonradio @SDF join us in https://sdf.org/ commode chat! :
a music sample from @ErrorCrater
from @kentpitman https://climatejustice.social/@kentpitman/111597376661695035 (do retoot)

  • The Christmas Peril by Nancy J Howard
  • Nice discussion of buses


I talk about the trivial basis for this month on a microcode implementation for @amszmidt 's lisp machine in vhdl using a mixture of lisp and Reichenbacher. links v

screwtape,
@screwtape@mastodon.sdf.org avatar

Let's also talk about this thread by @pkw and @louis on https://mastodon.sdf.org/@pkw/111608447354936410
which also implies this article by kmp:
https://www.nhplace.com/kent/PS/Lambda.html

@SDF @ErrorCrater @kentpitman @amszmidt

louis,
@louis@emacs.ch avatar

@screwtape @kentpitman @ErrorCrater @SDF @pkw Gonna be a long night for me then :-) Looking forward to the show!

ClashHDL, to haskell
@ClashHDL@fosstodon.org avatar

Time for my to the Fediverse! :masto_love:

Clash is an open source functional hardware description language built on .
The Clash compiler allows you to use Haskell features like its strong and powerful typesystem as well as use existing Haskell code and libraries in your and designs! You can test your designs right inside the REPL, simulate it alongside other Haskell code or output / / code for synthesis.

Links in the profile ✨

gnemmi, to climate
@gnemmi@mastodon.sdf.org avatar
screwtape, to climate
@screwtape@mastodon.sdf.org avatar

live in about 1.5 hours @SDF https://aNONradio.net

(let ((print-circle t))
"I'm going to read some of @kentpitman 's toot on repitition")
in climate messaging and the everything's-fine double-talk that happens
I've been consumed with of generation for the past week, check my
gopher://tilde.club/0/~screwtape/synthember-100days-tooffload/036-vhdl-fortnight-1.org
https://gopher.tildeverse.org/tilde.club/0/~screwtape/synthember-100days-tooffload/036-vhdl-fortnight-1.org
@jessica https://mastodon.heavymusic.rocks/@jessica/111378490412073350

gnemmi, to climate
@gnemmi@mastodon.sdf.org avatar

#lispyGopher #climate on https://anonradio.net/ in NOW !!

@kentpitman small essay toot on the meaning of climate change
https://climatejustice.social/@kentpitman/111341851885480599
with reference to an earlier haiku
#gopher
I will read @jns VOIP number from their phlog
and discuss jns' languages phost
wrt #vhdl and #lisp and #lispm #fpga #microcode ( @amszmidt @havoc ) (one week in)
#music by @headchant https://rdlk.xyz/
#art by @prahou #unix_surrealism https://analognowhere.com

Join SDF COMmode @ https://sdf.org

screwtape, (edited ) to climate
@screwtape@mastodon.sdf.org avatar

on SCROLL DOWN at 000UTC Wednesday (Tuesday)
@kentpitman small essay toot on the meaning of climate change
https://climatejustice.social/@kentpitman/111341851885480599
with reference to an earlier haiku
(s)
I will read @jns VOIP number from their phlog
and discuss jns' languages phost
wrt and and ( @amszmidt @havoc ) (one week in)
by @headchant https://rdlk.xyz/
by @prahou https://analognowhere.com

amszmidt, to VHDL
@amszmidt@mastodon.social avatar

Calling on all able bodied / HDL hackers to help me with getting the working on some half modern !

I have no strong opinions, the previous design worked on Spartan 6. The Arty looks nice. ICE40 might be too small, I dunno...

amszmidt, to VHDL
@amszmidt@mastodon.social avatar

hackers -- anyone keen on a small project? CADR on a AXI4-Lite bus. I suck at HDL .. but would be more than happy to assist.

amszmidt,
@amszmidt@mastodon.social avatar

The first part would be a UART with a small debugging interface that can read/write to memory. The CADR is entirely MMIO. Second step would be the CADR, and last all the peripherals (keyboard, frame buffer, ...). I think I should be able to do most of the work given the basic help and scaffolding ...

amszmidt,
@amszmidt@mastodon.social avatar
bikerglen, to random
@bikerglen@mastodon.social avatar

This is the only secret message I've ever embedded in a simulation. I should do it more often. #VHDL #Verilog #ASIC #FPGA #HDL #SOC

pipelinec, to VHDL

Have you fine Mastodon folks seen this awesome work?

First ever raytraced game thats not software? 1080p realtime, interactive, fixed+float point, 3D vector math, no CPU, no instructions, autopipelined in !
@suarezvictor's fantastic work w/ CflexHDL + PipelineC!

https://youtu.be/hn3sr3VMJQU

  • All
  • Subscribed
  • Moderated
  • Favorites
  • provamag3
  • InstantRegret
  • mdbf
  • ngwrru68w68
  • magazineikmin
  • thenastyranch
  • rosin
  • khanakhh
  • osvaldo12
  • Youngstown
  • slotface
  • Durango
  • kavyap
  • DreamBathrooms
  • JUstTest
  • GTA5RPClips
  • ethstaker
  • cisconetworking
  • tester
  • modclub
  • everett
  • cubers
  • tacticalgear
  • Leos
  • megavids
  • normalnudes
  • anitta
  • lostlight
  • All magazines