tk, to fediverse
@tk@bbs.kawa-kun.com avatar

Someone should write a server implementation in a hardware description language like or .

whitequark, (edited ) to random
@whitequark@mastodon.social avatar

@danluu re: https://danluu.com/why-hardware-development-is-hard/

> The problem is that Verilog was originally designed as a language to describe simulations

this is a common misconception! it's excusable, given that I work on FPGA (incl. Verilog) toolchains for a living and thought so for the longest time

there is a HOPL IV paper on Verilog and the people who designed it say they designed it for synthesis from the beginning, with a fairly detailed description of how they prepared it for that.

krans,
@krans@mastodon.me.uk avatar

@whitequark @danluu Having used both: I still don't understand how the industry settled on rather than , which is vastly superior.

screwtape, to climate
@screwtape@mastodon.sdf.org avatar

#lispyGopher #climate #live #liveNow (on the hour) https://anonradio.net:8443/anonradio @SDF join us in https://sdf.org/ commode chat! :
a music sample from @ErrorCrater
#haiku from @kentpitman https://climatejustice.social/@kentpitman/111597376661695035 (do retoot)

  • The Christmas Peril by Nancy J Howard
  • Nice discussion of buses

#lisp #CommonLisp #gopher #vhdl
I talk about the trivial basis for this month on a microcode implementation for @amszmidt 's #CADR4 lisp machine in vhdl using a mixture of lisp and Reichenbacher. links v

ClashHDL, to haskell

Time for my to the Fediverse! :masto_love:

Clash is an open source functional hardware description language built on .
The Clash compiler allows you to use Haskell features like its strong and powerful typesystem as well as use existing Haskell code and libraries in your and designs! You can test your designs right inside the REPL, simulate it alongside other Haskell code or output / / code for synthesis.

Links in the profile ✨

gnemmi, to climate
@gnemmi@mastodon.sdf.org avatar
screwtape, to climate
@screwtape@mastodon.sdf.org avatar

live in about 1.5 hours @SDF https://aNONradio.net

(let ((print-circle t))
"I'm going to read some of @kentpitman 's toot on repitition")
in climate messaging and the everything's-fine double-talk that happens
I've been consumed with of generation for the past week, check my
gopher://tilde.club/0/~screwtape/synthember-100days-tooffload/036-vhdl-fortnight-1.org
https://gopher.tildeverse.org/tilde.club/0/~screwtape/synthember-100days-tooffload/036-vhdl-fortnight-1.org
@jessica https://mastodon.heavymusic.rocks/@jessica/111378490412073350

gnemmi, to climate
@gnemmi@mastodon.sdf.org avatar

on https://anonradio.net/ in NOW !!

@kentpitman small essay toot on the meaning of climate change
https://climatejustice.social/@kentpitman/111341851885480599
with reference to an earlier haiku

I will read @jns VOIP number from their phlog
and discuss jns' languages phost
wrt and and ( @amszmidt @havoc ) (one week in)
by @headchant https://rdlk.xyz/
by @prahou https://analognowhere.com

Join SDF COMmode @ https://sdf.org

screwtape, (edited ) to climate
@screwtape@mastodon.sdf.org avatar

on SCROLL DOWN at 000UTC Wednesday (Tuesday)
@kentpitman small essay toot on the meaning of climate change
https://climatejustice.social/@kentpitman/111341851885480599
with reference to an earlier haiku
(s)
I will read @jns VOIP number from their phlog
and discuss jns' languages phost
wrt and and ( @amszmidt @havoc ) (one week in)
by @headchant https://rdlk.xyz/
by @prahou https://analognowhere.com

amszmidt, to VHDL
@amszmidt@mastodon.social avatar

Calling on all able bodied / HDL hackers to help me with getting the working on some half modern !

I have no strong opinions, the previous design worked on Spartan 6. The Arty looks nice. ICE40 might be too small, I dunno...

amszmidt, to VHDL
@amszmidt@mastodon.social avatar

hackers -- anyone keen on a small project? CADR on a AXI4-Lite bus. I suck at HDL .. but would be more than happy to assist.

Blending GNU Radio and Aldec Riviera-PRO for verification of Software Defined Radio

This whitepaper https://www.aldec.com/en/company/blog/190--development-of-real-time-sdr-systems-with-aldec-hes describes a method by which the designer can leverage the rapid signal prototyping capability in GNU Radio and use it in co-simulation with Aldec Riviera-PRO....

bikerglen, to random
@bikerglen@mastodon.social avatar

This is the only secret message I've ever embedded in a simulation. I should do it more often.

pipelinec, to VHDL
@pipelinec@fosstodon.org avatar

Have you fine Mastodon folks seen this awesome work?

First ever raytraced game thats not software? 1080p realtime, interactive, fixed+float point, 3D vector math, no CPU, no instructions, autopipelined in !
@suarezvictor's fantastic work w/ CflexHDL + PipelineC!

https://youtu.be/hn3sr3VMJQU

  • All
  • Subscribed
  • Moderated
  • Favorites
  • megavids
  • thenastyranch
  • magazineikmin
  • cubers
  • InstantRegret
  • cisconetworking
  • Youngstown
  • vwfavf
  • slotface
  • Durango
  • rosin
  • everett
  • kavyap
  • DreamBathrooms
  • provamag3
  • mdbf
  • khanakhh
  • modclub
  • tester
  • ethstaker
  • osvaldo12
  • GTA5RPClips
  • ngwrru68w68
  • Leos
  • anitta
  • tacticalgear
  • normalnudes
  • JUstTest
  • All magazines