tk, to fediverse
@tk@bbs.kawa-kun.com avatar

Someone should write a server implementation in a hardware description language like or .

didier, to verilog
@didier@malenfant.net avatar

Anyone interested in checking out some Analogue Pocket development, come by the newcomer zone and say hi!

#revision2024 #AnaloguePocket #openFPGA #Verilog

didier, to VHDL
@didier@malenfant.net avatar

Missed the stream last night? Have no fear... the replay is here (although I almost forgot to record it again…)

We're laying down the foundation of what will become our MMU/DMA chip in the console.

https://mytube.malenfant.net/w/uL4Xvo3wEnLNaWmKGhpcBR

#AnaloguePocket #openFPGA #fpga #FPGADev #Verilog #GameDev #ProjectFreedom #PeerTube

didier, to fediverse
@didier@malenfant.net avatar
didier, to fediverse
@didier@malenfant.net avatar

Now deep diving on the core boot sequence for the

https://live.malenfant.net

whitequark, (edited ) to random
@whitequark@mastodon.social avatar

@danluu re: https://danluu.com/why-hardware-development-is-hard/

> The problem is that Verilog was originally designed as a language to describe simulations

this is a common misconception! it's excusable, given that I work on FPGA (incl. Verilog) toolchains for a living and thought so for the longest time

there is a HOPL IV paper on Verilog and the people who designed it say they designed it for synthesis from the beginning, with a fairly detailed description of how they prepared it for that.

krans,
@krans@mastodon.me.uk avatar

@whitequark @danluu Having used both: I still don't understand how the industry settled on rather than , which is vastly superior.

tommythorn, to verilog
@tommythorn@chaos.social avatar

Ok, Google couldn't help, so suggestions solicitated!

I use both Icarus Verilog and Verilator for simulation and initialize my rams with

$readmemh(`INIT_MEM, code);

The drawback of this is that the produced simulation binary is tied to the

-DINIT_MEM=prog.hex

value used at compilation time. I would like to reuse the simulation binary on multiple workloads. Is there a way that works for both Icarus and Verilator?

didier, to VHDL
@didier@malenfant.net avatar

Video from tonight's stream is now on my tube.

I've also added a link to the repo for the raster bars code in the description.

https://mytube.malenfant.net/w/7TcnxqVBcTVwfwjqcwRCvW

didier, to fediverse
@didier@malenfant.net avatar

Live now for part two of “Introduction to development”. We’re finishing up the raster bars!

https://live.malenfant.net

radiofreefedi, to streaming
@radiofreefedi@musician.social avatar

The NYD 24 Global Stream Parade officially kicks off in les than an hour at 0100 UTC.

This will be our thread for announcing upcoming stops on the parade route which can be seen at: https://radiofreefedi.net/nyd24

We and the broadcasters will use if you want to keep an eye out, or mute for the day. Boost the participants and let's celebrate discovering some of your fedi streaming friends.

radiofreefedi,
@radiofreefedi@musician.social avatar

The next stop on the is starting soon at 1500 UTC

Please join @didier streaming at: https://live.malenfant.net

“Introduction to development”. Come learn about and development

didier, to fediverse
@didier@malenfant.net avatar

New Year’s Day at 15:00 UTC I’m hosting a one hour introduction to development as part or @radiofreefedi ‘s 24 hour !

https://radiofreefedi.net/nyd24/

Come hang out and ask any questions you were too afraid to ask before 😉

My section of the stream will be on my channel at https://live.malenfant.net

didier, to verilog
@didier@malenfant.net avatar

Looking to get started with development or just curious on how it's done? I got ya...

Just merged my tutorial site with the repo hosting all the sample code.

https://codeberg.org/DidierMalenfant/openFPGA-tutorials

ClashHDL, to haskell

Time for my to the Fediverse! :masto_love:

Clash is an open source functional hardware description language built on .
The Clash compiler allows you to use Haskell features like its strong and powerful typesystem as well as use existing Haskell code and libraries in your and designs! You can test your designs right inside the REPL, simulate it alongside other Haskell code or output / / code for synthesis.

Links in the profile ✨

glairedaggers, to verilog
@glairedaggers@peoplemaking.games avatar

today's lunch break fix: HELL YEA WE ARE COOKIN WITH GAS NOW BAYBEEE

glairedaggers, to VHDL
@glairedaggers@peoplemaking.games avatar

Baby's first MiSTer core 🎉

hankg, to analog
itnewsbot, to verilog
@itnewsbot@schleuss.online avatar

QSPICE Picks Up Where LTSpice Left Us - [Mike Engelhardt] is a name that should be very familiar to the hardcore electroni... - https://hackaday.com/2023/08/25/qspice-picks-up-where-ltspice-left-us/ -mode #c

didier, to VHDL
@didier@malenfant.net avatar

Live now for some more openFPGA dev shenanigans. Lots to catch up on and hopefully wrap up too today.

https://twitch.com/didiermalenfant

didier, to amiga
@didier@malenfant.net avatar

I’ll be live tonight 7pm CEST - 5pm UTC - 10am PST getting my signal tap on for my core on the

https://twitch.com/didiermalenfant

By request we will do some :amiga: stuff tomorrow night!

M0CUV, to random
@M0CUV@mastodon.radio avatar

This morning I’ve been working on eForth for the emulator, changing its example UART I/O code to use IServer protocol requests, and changing its use of macros for defining Transputer op codes with DB directives to use actual instructions that my assembler understands. There’s probably still lots to work on, but going to try a first boot later :)

PythonLinks,
@PythonLinks@mastodon.social avatar

@M0CUV
So I am deciding between doing all of my work in , with great Python testing tools, and using debugging tools.

Right now I am going with Verilog tools. There are so many versions of the processor, I cannot port them all to Amaranth.

itnewsbot, to retrocomputing
@itnewsbot@schleuss.online avatar

A Cycle-Accurate Sega Genesis with FPGA - The Field-Programmable Gate Array (FPGA) is a powerful tool that is becoming more ... - https://hackaday.com/2023/08/04/a-cycle-accurate-sega-genesis-with-fpga/

PythonLinks, to forth
@PythonLinks@mastodon.social avatar

I am very curious about large numbers of small computers running on an together. .

What should I be reading about?

itnewsbot, to random
@itnewsbot@schleuss.online avatar

Bringing The PIO To The FPGA - We’ve seen some pretty incredible hacks using the Raspberry Pi 2040. However, one ... - https://hackaday.com/2023/05/22/bringing-the-pio-to-the-fpga/

bikerglen, to random
@bikerglen@mastodon.social avatar

This is the only secret message I've ever embedded in a simulation. I should do it more often.

pipelinec, to VHDL
@pipelinec@fosstodon.org avatar

Have you fine Mastodon folks seen this awesome work?

First ever raytraced game thats not software? 1080p realtime, interactive, fixed+float point, 3D vector math, no CPU, no instructions, autopipelined in !
@suarezvictor's fantastic work w/ CflexHDL + PipelineC!

https://youtu.be/hn3sr3VMJQU

  • All
  • Subscribed
  • Moderated
  • Favorites
  • megavids
  • normalnudes
  • magazineikmin
  • GTA5RPClips
  • everett
  • rosin
  • Youngstown
  • ngwrru68w68
  • slotface
  • osvaldo12
  • Durango
  • kavyap
  • InstantRegret
  • DreamBathrooms
  • JUstTest
  • khanakhh
  • tacticalgear
  • tester
  • thenastyranch
  • mdbf
  • ethstaker
  • cisconetworking
  • Leos
  • modclub
  • anitta
  • cubers
  • provamag3
  • lostlight
  • All magazines